交通信号灯控制器,急急急!!!
发布网友
发布时间:2022-04-24 01:53
我来回答
共3个回答
热心网友
时间:2023-10-20 04:19
这个我以前写的,z1,z2,z3(c1,c2,c3)分别是主路(支路)口的红黄路灯,先是支路放行20s,再是主路放行40s,红灯到路灯中间要有5s黄灯,路灯直接到黄灯,和你需要的差不多。自己看看改改就行,再加个显示就可以了
library ieee;
use ieee.std_logic_1164.all;
entity lude is
port(clk:in bit;
z1,c1,z2,c2,z3,c3:out bit);
end entity;
architecture one of lude is
begin
process(clk)
VARIABLE TEMP1: NATURAL;
begin
if(clk'event and clk='1') then
temp1:=temp1+1;
if temp1<=20 then
z1<='1';z2<='0';z3<='0';c1<='0';c2<='0';c3<='1';
elsif temp1<=25 then
z1<='0';z2<='1';z3<='0';c1<='0';c2<='0';c3<='1';
elsif temp1<=65 then
z1<='0';z2<='0';z3<='1';c1<='1';c2<='0';c3<='0';
elsif temp1<=70 then
z1<='0';z2<='0';z3<='1';c1<='0';c2<='1';c3<='0';
elsif temp1>70 then
temp1:=0;
end if;
end if;
end process;
end;
热心网友
时间:2023-10-20 04:19
单片机课程设计:交通信号灯控制器设计 一、电路功能 该控制器能实现城市“十字”路口正常情况下以及特殊情况和紧急情况下交通信号灯的模拟控制。 1、在十字
热心网友
时间:2023-10-20 04:20
朋友,你是交警队的吗?这么复杂,看来你要请专家了
热心网友
时间:2023-10-20 04:19
这个我以前写的,z1,z2,z3(c1,c2,c3)分别是主路(支路)口的红黄路灯,先是支路放行20s,再是主路放行40s,红灯到路灯中间要有5s黄灯,路灯直接到黄灯,和你需要的差不多。自己看看改改就行,再加个显示就可以了
library ieee;
use ieee.std_logic_1164.all;
entity lude is
port(clk:in bit;
z1,c1,z2,c2,z3,c3:out bit);
end entity;
architecture one of lude is
begin
process(clk)
VARIABLE TEMP1: NATURAL;
begin
if(clk'event and clk='1') then
temp1:=temp1+1;
if temp1<=20 then
z1<='1';z2<='0';z3<='0';c1<='0';c2<='0';c3<='1';
elsif temp1<=25 then
z1<='0';z2<='1';z3<='0';c1<='0';c2<='0';c3<='1';
elsif temp1<=65 then
z1<='0';z2<='0';z3<='1';c1<='1';c2<='0';c3<='0';
elsif temp1<=70 then
z1<='0';z2<='0';z3<='1';c1<='0';c2<='1';c3<='0';
elsif temp1>70 then
temp1:=0;
end if;
end if;
end process;
end;
热心网友
时间:2023-10-20 04:19
单片机课程设计:交通信号灯控制器设计 一、电路功能 该控制器能实现城市“十字”路口正常情况下以及特殊情况和紧急情况下交通信号灯的模拟控制。 1、在十字
热心网友
时间:2023-10-20 04:20
朋友,你是交警队的吗?这么复杂,看来你要请专家了
热心网友
时间:2023-10-20 04:19
这个我以前写的,z1,z2,z3(c1,c2,c3)分别是主路(支路)口的红黄路灯,先是支路放行20s,再是主路放行40s,红灯到路灯中间要有5s黄灯,路灯直接到黄灯,和你需要的差不多。自己看看改改就行,再加个显示就可以了
library ieee;
use ieee.std_logic_1164.all;
entity lude is
port(clk:in bit;
z1,c1,z2,c2,z3,c3:out bit);
end entity;
architecture one of lude is
begin
process(clk)
VARIABLE TEMP1: NATURAL;
begin
if(clk'event and clk='1') then
temp1:=temp1+1;
if temp1<=20 then
z1<='1';z2<='0';z3<='0';c1<='0';c2<='0';c3<='1';
elsif temp1<=25 then
z1<='0';z2<='1';z3<='0';c1<='0';c2<='0';c3<='1';
elsif temp1<=65 then
z1<='0';z2<='0';z3<='1';c1<='1';c2<='0';c3<='0';
elsif temp1<=70 then
z1<='0';z2<='0';z3<='1';c1<='0';c2<='1';c3<='0';
elsif temp1>70 then
temp1:=0;
end if;
end if;
end process;
end;
热心网友
时间:2023-10-20 04:19
单片机课程设计:交通信号灯控制器设计 一、电路功能 该控制器能实现城市“十字”路口正常情况下以及特殊情况和紧急情况下交通信号灯的模拟控制。 1、在十字
热心网友
时间:2023-10-20 04:20
朋友,你是交警队的吗?这么复杂,看来你要请专家了
热心网友
时间:2023-10-20 04:19
这个我以前写的,z1,z2,z3(c1,c2,c3)分别是主路(支路)口的红黄路灯,先是支路放行20s,再是主路放行40s,红灯到路灯中间要有5s黄灯,路灯直接到黄灯,和你需要的差不多。自己看看改改就行,再加个显示就可以了
library ieee;
use ieee.std_logic_1164.all;
entity lude is
port(clk:in bit;
z1,c1,z2,c2,z3,c3:out bit);
end entity;
architecture one of lude is
begin
process(clk)
VARIABLE TEMP1: NATURAL;
begin
if(clk'event and clk='1') then
temp1:=temp1+1;
if temp1<=20 then
z1<='1';z2<='0';z3<='0';c1<='0';c2<='0';c3<='1';
elsif temp1<=25 then
z1<='0';z2<='1';z3<='0';c1<='0';c2<='0';c3<='1';
elsif temp1<=65 then
z1<='0';z2<='0';z3<='1';c1<='1';c2<='0';c3<='0';
elsif temp1<=70 then
z1<='0';z2<='0';z3<='1';c1<='0';c2<='1';c3<='0';
elsif temp1>70 then
temp1:=0;
end if;
end if;
end process;
end;
热心网友
时间:2023-10-20 04:19
单片机课程设计:交通信号灯控制器设计 一、电路功能 该控制器能实现城市“十字”路口正常情况下以及特殊情况和紧急情况下交通信号灯的模拟控制。 1、在十字
热心网友
时间:2023-10-20 04:20
朋友,你是交警队的吗?这么复杂,看来你要请专家了