问答文章1 问答文章501 问答文章1001 问答文章1501 问答文章2001 问答文章2501 问答文章3001 问答文章3501 问答文章4001 问答文章4501 问答文章5001 问答文章5501 问答文章6001 问答文章6501 问答文章7001 问答文章7501 问答文章8001 问答文章8501 问答文章9001 问答文章9501

请帮我注释这个VHDL程序

发布网友 发布时间:2023-04-28 02:54

我来回答

2个回答

热心网友 时间:2023-10-25 21:23

ibrary ieee ; ///库头文件,每个VHDL程序都要加的
use ieee.std_logic_1164.all; /////用了标准逻辑的IEEE第1164号文件,这个文件包括了VHDL中的经常用的许多东西,几乎都要加的

entity mylight is /////定义了实体为 MYLIGHT
port (start : in std_logic; /////定义START为标准的逻辑输入信号
stop : in std_logic; /////定义stop为标准的逻辑输入信号
clk:in std_logic; //////定义clk为标准的逻辑输入信号
shift_left : in std_logic; //////定义shift_left为标准的逻辑输入信号
Q : out std_logic_vector(7 downto 0)
//////定义Q 为7到0的标准的逻辑位矢量
);
end mylight; //////结束实体

architecture behavior of mylight is /////定义了实体的一个结构体为 behavior

signal lights : std_logic_vector(7 downto 0); //////定义signal lights 为7到0的标准的逻辑位矢量
begin /////开始
process(clk, start, stop, shift_left) /////执行过程程序,形式参数为(clk, start, stop, shift_left)
begin /////开始
if (start = '0' or stop = '1') then lights <= (others => '1');
/////如果start = '0'或者stop = '1'成立,则进入后面的语句块执行others => '1'赋值给lights
elsif (clk'event and clk = '1') /////如果上述的条件都不成立则执行CLK作为事件信号置1
then /////然后接着执行下面的程序
if (shift_left = '1') /////如果shift_left = '1'成立
then /////接着执行下面的程序
lights <= lights(6 downto 0) & '0'; /////将位矢量lights(6 downto 0)的值与0相与赋值给lights
else lights <= '0' & lights(7 downto 1); ///// 如果shift_left = '1'不成立,将0'& lights(7 downto 1)值赋给lights
end if; /////结束内IF的条件判断语句
end if; /////结束外IF的条件判断语句
end process; /////结束过程

Q <= lights; /////将lights位矢量的值赋给位矢量Q

end behavior; /////结束 behavior实体

以上只是我在自己专业知识基础上的解答。。有不好的地方请高手指点

祝你好运~~~~~

热心网友 时间:2023-10-25 21:24

if(clk'event
and
clk='1')then
--当clk来上升沿脉冲,
if(stop='0')then
--且stop为0时,
chf:=0;
--chf置0,
num:=0;
--num置0,
b:='1';
--b置1,
aa:=0;
--aa置0,
lc:=0;
--lc置0,
elsif(start='0')then
--如果stop为1(clk有上升沿),start为
b:='0';
--0时,b置0,
chf:=700;
--chf置为700,
lc:=0;
--lc置0,
elsif(start='1'
and
js='1'and
pause='1')then
--如果stop为1(clk有上升
if(b='0')then
--沿),start为1,且js为1,pause为1时,
num:=num+1;
--如果b为0,则num自加1,
end
if;
--结束。
声明声明:本网页内容为用户发布,旨在传播知识,不代表本网认同其观点,若有侵权等问题请及时与本网联系,我们将在第一时间删除处理。E-MAIL:11247931@qq.com
电脑wifi已禁用怎么打开电脑无线网络禁用了怎么恢复 ...禁用网络在哪重开win7笔记本无线网络被禁用了怎么办 win7网络禁用怎么恢复 windows7网络被禁用怎么恢复 Win7系统本地连接禁用了怎么恢复Win7系统启动本地连接的两种方法图文... 梦见家人去世什么预兆 ...经缝针现在基本痊愈,一个月过去了现在就是小腿还不能贴大腿,最近感... 小腿缝针拆线三个月了表皮长好了里面的肉怎么有点带黑红色还有点白色... 小腿迎面骨掉快深宽都1厘米左右的肉。当时没缝针。已经20天了。天天... 运费和快递费各走 什么科目? 快递费用放什么科目 半舌音的网络解释半舌音的网络解释是什么 求skymedifix2gbcapacitysd卡修复工具v10绿色版网盘资源 职工医保年限规定 用胡言乱语造句(大约30个左右) 什么样的乱说填空? 乱说的结构乱说的结构是什么 上证指数、深证成指、创业板指数哪个值得参考?深证指数与深证成指有区别吗? 灵活就业人员养老保险和医保必须一起交吗 用苹果手机听喜马拉雅锁屏后就自动关闭听不了了请问可以怎么解决 二年级小学生画黑板报 小学二年级黑板报图片素材 我们长大啦儿童黑板报 开学啦黑板报图片素材 我今年15岁,初三,喝咖啡好吗? 电脑插入耳机没有声音怎么办电脑设置没有显示扬声器怎么办呢 什么是贝叶斯统计 为什么选柯西分布为先验分布 孵化器的加热线用什么线制作出来的呢 - 信息提示 facebook谁的课程好 北京联合大学在职研究生值得读吗? 北京联合大学成人高考毕业有学士学位吗? 手机里有学生模式两个不同款的手机能定位吗? 不同牌子的手机可以设置定位吗如果不可以什么方法定位对方不知道 我觉得自己好像得了强迫症,总是注重追求完美吧。有一天我发现自己不完美,于是我也不知道怎么着总是害怕 soul没有小绿点是不是代表未读,为什么发了瞬间,看见信息却不显示小绿点? 从可以看出对方年龄和信息吗? 手机wifi连接正常但手机qq和微信经常显示无法连接网络是怎么回事 发电机带的助力真空泵的怎么按装多缸柴油车 pycharm怎么设置深色窗口 新买的苹果14的备忘录里有2018年的录音是怎么回事 耍舌头的网络解释耍舌头的网络解释是什么 扪舌的网络解释扪舌的网络解释是什么 用黑米淘米水洗头发还是大米淘米水洗头发好一些 黑糯米水怎样洗头 淘黑米和大米水能混合洗头吗 建行开银承审核贸易背景吗 红糖姜水的正确做法红糖生姜水的熬制方法红糖姜水什么时候喝 车子防冻液少了为什么? 匡教的意思匡教的意思是什么 敕教的意思敕教的意思是什么 投资是如何影响通货膨胀的